Ayuda
Ir al contenido

Dialnet


Aportaciones mediante implementación basada en sistemas embebidos al control digital de convertidores conmutados

  • Autores: Alberto Sánchez González
  • Directores de la Tesis: Ángel de Castro Martín (dir. tes.)
  • Lectura: En la Universidad Autónoma de Madrid ( España ) en 2013
  • Idioma: español
  • Tribunal Calificador de la Tesis: Oscar García Suárez (presid.), Javier Garrido Salas (secret.), Oscar Lucia Gil (voc.), Christian Brañas Reyes (voc.), Pablo Zumel Vaquero (voc.)
  • Materias:
  • Enlaces
  • Resumen
    • En la última década, el control digital para convertidores conmutados de potencia ha evolucionado notablemente.

      Se ha demostrado que no sólo se pueden realizar las mismas tareas que en su vertiente analógica, sino que el control digital ofrece grandes ventajas.

      Esta tesis doctoral presenta por una parte un sistema para facilitar la etapa de pruebas del regulador digital para convertidores de potencia basándose en una arquitectura HIL (del inglés Hardware In-the-Loop), la cual emula el sistema completo de pruebas permitiendo grandes aceleraciones.

      Por otra parte, se muestra un método que realiza corrección de factor de potencia sensando únicamente la tensión de salida.

      Esta aproximación permite eliminar el sensado de la tensión de entrada y de la corriente de entrada del convertidor, siendo esta última especialmente significativa, debido a las desventajas que conlleva.

      Una de las tareas imprescindibles en el desarrollo de un regulador digital es la etapa de pruebas, especialmente en sistemas donde un fallo del regulador sea crítico.

      Esta etapa, sin embargo, no es trivial dado que la naturaleza del convertidor es analógica, mientras que la del regulador es digital.

      No sólo es útil la simulación de un modelo simplificado del regulador junto a un modelo de la planta, sino que es deseable simular la implementación real del regulador junto a un modelo de la planta.

      En el caso de reguladores escritos en HDL (del inglés Hardware Description Language), estos pueden ser simulados junto a un modelo HDL de la planta, creando una simulación íntegramente digital, siendo mucho más rápida que una simulación mixta analógica-digital.

      Sin embargo, hasta las simulaciones digitales pueden llegar a ser extremadamente largas dependiendo de la aplicación que se desee simular, como puede ser la corrección de factor de potencia.

      Por tanto, puede que las simulaciones digitales no sean útiles en cuanto al tiempo de simulación, por lo que surge la necesidad de realizar un sistema HIL, es decir, emular el sistema completo, realizando las pruebas en hardware real y en tiempo real.

      El modelo digital de la planta puede realizarse con diferentes aritméticas, las cuales repercuten enormemente en el tiempo de simulación e incluso en la precisión de la simulación.

      En la presente tesis doctoral se muestra la metodología para implementar el modelo de una planta usando diversas aritméticas que implementan coma flotante y coma fija, y se profundiza en la resolución numérica de los modelos presentados.

      Asimismo, se presenta un estudio exhaustivo que compara todas las aritméticas presentadas y se demuestra que los resultados obtenidos tienen gran similitud, y por tanto utilidad, con los resultados experimentales que se obtienen con el convertidor real.

      La segunda parte de la tesis doctoral presenta aportaciones relacionadas con la corrección de factor de potencia realizada de forma digital.

      En las técnicas tradicionales deben utilizarse tres ADCs (del inglés Analog-to-Digital Converter) para medir las tensiones de entrada y de salida, así como la corriente de entrada del convertidor.

      Aprovechando las ventajas del control digital se propone precalcular el ciclo de trabajo del conmutador del convertidor, y aplicarlo posteriormente, aprovechando la naturaleza periódica de la corrección de factor de potencia.

      Idealmente se necesitaría únicamente la sincronización con la red eléctrica, la cual es posible usando un comparador analógico de tensión.

      Dado que las condiciones reales de operación varían respecto a las ideales, debe realizarse una modificación en tiempo real del ciclo de trabajo precalculado.

      Para ello se muestran diversas técnicas las cuales dividen el ciclo de trabajo en diferentes componentes que pueden ser tratadas de forma diferente para poder adaptarse a tensiones de entrada y potencias no nominales.

      Todas las técnicas propuestas utilizan un único ADC que mide la tensión de salida.

      La medida del ADC se utiliza para calcular la tensión media de salida y su rizado, que a su vez depende de la carga, y estos se utilizan en las técnicas de control.

      Por tanto, todas las técnicas propuestas utilizan un comparador de tensión para la sincronización y un ADC, frente a las técnicas clásicas que usan tres ADCs.

      Los resultados experimentales demuestran que las técnicas presentadas cumplen la normativa IEC-61000-3-2 en condiciones nominales y frente a variaciones notables en la tensión de entrada y carga del convertidor.


Fundación Dialnet

Dialnet Plus

  • Más información sobre Dialnet Plus

Opciones de compartir

Opciones de entorno